翻訳と辞書
Words near each other
・ Pico Turquino
・ Pico Viejo
・ Pico y placa
・ Pico, California
・ Pico, Lazio
・ Pico, Virginia
・ Pico-
・ Pico-ITX
・ Pico-ITXe
・ Pico-Robertson
・ Pico-Union, Los Angeles
・ Pico/Aliso (Los Angeles Metro station)
・ Picoazá
・ Picobiliphyte
・ Picobirnavirus
PicoBlaze
・ Picobrouwerij Alvinne
・ PicoBSD
・ Picocassette
・ Picocell
・ PicoChip
・ Picochlorum
・ Picochlorum oklahomensis
・ Picocoraciae
・ Picocystis
・ Picodon
・ Picodynastornithes
・ PICOe
・ Picoeukaryote
・ Picogen


Dictionary Lists
翻訳と辞書 辞書検索 [ 開発暫定版 ]
スポンサード リンク

PicoBlaze : ウィキペディア英語版
PicoBlaze
PicoBlaze is the designation of a series of three free soft processor cores from Xilinx for use in their FPGA and CPLD products. They are based on an 8-bit RISC architecture and can reach speeds up to 100 MIPS on the Virtex 4 FPGA's family. The processors have an 8-bit address and data port for access to a wide range of peripherals. The license of the cores allows their free use, albeit only on Xilinx devices, and they come with development tools. Third party tools are available from Mediatronix and others. Also PacoBlaze, a behavioral and device independent implementation of the cores exists and is released under the BSD License.
The PicoBlaze design was originally named KCPSM which stands for "Constant(K) Coded Programmable State Machine" (formerly "Ken Chapman's PSM"). Ken Chapman was the Xilinx systems designer who devised and implemented the microcontroller.〔(【引用サイトリンク】title=PicoBlaze 8-bit Microcontroller )
==Instantiation==

When instantiating a PicoBlaze microcontroller in VHDL, the respective KCPSM component name must be used.〔(【引用サイトリンク】title=PicoBlaze 8-bit Embedded Microcontroller User Guide )〕 For example, for a PicoBlaze3 processor:

component kcpsm3 is
port (
address : out std_logic_vector(9 downto 0);
instruction : in std_logic_vector(17 downto 0);
port_id : out std_logic_vector(7 downto 0);
write_strobe : out std_logic;
out_port : out std_logic_vector(7 downto 0);
read_strobe : out std_logic;
in_port : in std_logic_vector(7 downto 0);
interrupt : in std_logic;
interrupt_ack : out std_logic;
reset : in std_logic;
clk : in std_logic
);
end component;


抄文引用元・出典: フリー百科事典『 ウィキペディア(Wikipedia)
ウィキペディアで「PicoBlaze」の詳細全文を読む



スポンサード リンク
翻訳と辞書 : 翻訳のためのインターネットリソース

Copyright(C) kotoba.ne.jp 1997-2016. All Rights Reserved.