翻訳と辞書
Words near each other
・ PicMonkey.com
・ PiCNiC
・ Picnic
・ picnic
・ picnic area
・ picnicked
・ Picnik
・ PICO
・ Pico
・ Pico-ITX
・ PicoBlaze
・ picoline
・ Picopico Sound Generation
・ PiCOPiCT
・ Picorin
・ PICORINPIN
・ picornavirus
・ picotoxin
・ picric acid
・ picrotoxin


Dictionary Lists
翻訳と辞書 辞書検索 [ 開発暫定版 ]
スポンサード リンク

PicoBlaze : ウィキペディア日本語版
PicoBlaze
PicoBlazeは、ザイリンクスが自らのFPGACPLD製品向けに提供しているソフトプロセッサコアの名称である。PicoBlazeは8ビットRISCアーキテクチャに基づき、FPGAのVirtex 4シリーズの上で、100MIPSを達成することが出来る。プロセッサは広範囲の周辺機器へのアクセスのため、8ビットのアドレスとデータポートを持っている。このコアのライセンスは、ザイリンクスのデバイスの上であれば、無料で動作させることを認めていて、開発環境も提供されている。サードパーティのツールがMediatronix等から入手可能である。ビヘイビア合成による、このコアから独立した、デバイス非依存の実装のPacoBlazeが、BSDライセンスの下でリリースされている。
PicoBlazeの設計は、当初"Constant(K) Coded Programmable State Machine"(その前は「ケンチャップマンのPSM」/"Ken Chapman's PSM")を表すKCPSMと名づけられていた。ケン・チャップマンはPicoBlazeを考案し実装したザイリンクスのシステムデザイナーであった。
VHDLでPicoBlazeマイクロコントローラを実装するときは、それぞれのKCPSM部品の名前を使用しなければならない。 例えば、PacoBlaze3プロセッサでは以下のようになる:
component kcpsm3 is
port (
address : out std_logic_vector(9 downto 0);
instruction : in std_logic_vector(17 downto 0);
port_id : out std_logic_vector(7 downto 0);
write_strobe : out std_logic;
out_port : out std_logic_vector(7 downto 0);
read_strobe : out std_logic;
in_port : in std_logic_vector(7 downto 0);
interrupt : in std_logic;
interrupt_ack : out std_logic;
reset : in std_logic;
clk : in std_logic
);
end component;
== 関連項目 ==

* PacoBlaze
* LatticeMico8
* LatticeMico32
* MicroBlaze
* Nios
* Nios II

抄文引用元・出典: フリー百科事典『 ウィキペディア(Wikipedia)
ウィキペディアで「PicoBlaze」の詳細全文を読む




スポンサード リンク
翻訳と辞書 : 翻訳のためのインターネットリソース

Copyright(C) kotoba.ne.jp 1997-2016. All Rights Reserved.